Channel Avatar

Open Logic @[email protected]

2.8K subscribers - no pronouns :c

More from this channel (soon)


13:30
How Semiconductor PN Junction Works
09:02
How Semiconductor Works
10:22
DRAM 05 - General Read and Write Operation on DDR Channel
03:24
Writing SV UVM Testbench 04 - Enabling UVM / Hello World in UVM
06:41
Multiplexer and Demultiplexer
04:20
SystemVerilog Tutorial in 5 Minutes 20 - Package
11:33
Writing SV UVM Testbench 03 - Testbench with Classes
07:08
DRAM 04 - DIMM, Rank and Channel
04:31
SystemVerilog Tutorial in 5 Minutes 16a - Non Blocking Assignment
11:06
Writing SV UVM Testbench 02 - Simple Directed Test
06:36
DRAM 03 - Memory Arrays
12:01
Writing SV UVM Testbench 01 - Design and Specification
06:53
DRAM 02 - DRAM vs SRAM
05:49
DRAM 01 - Introduction and Memory Cell Operation
00:10
Open Logic Intro
04:56
SystemVerilog Tutorial in 5 Minutes 19 - Compiler Directives
04:57
SystemVerilog Tutorial in 5 Minutes 18 - Cross Modules Reference
05:01
SystemVerilog Tutorial in 5 Minutes - 17a Concurrent Assertions
04:53
SystemVerilog Tutorial in 5 Minutes - 17 Assertion and Property
03:55
5分钟SystemVerilog - 01 简介
04:51
SystemVerilog Tutorial in 5 Minutes - 16 Program & Scheduling Semantics
04:43
SystemVerilog Tutorial in 5 Minutes - 15 virtual interface
04:40
SystemVerilog Tutorial in 5 Minutes - 14 interface
04:47
SystemVerilog Tutorial in 5 Minutes - 13a coverpoint bins
04:57
SystemVerilog Tutorial in 5 Minutes - 13 covergroup and coverpoint
04:56
SystemVerilog Tutorial in 5 Minutes - 12e Class Polymorphism
04:59
SystemVerilog Tutorial in 5 Minutes - 12d Class Inheritance
04:59
SystemVerilog Tutorial in 5 Minutes - 12c Class Randomization
05:00
SystemVerilog Tutorial in 5 Minutes - 10 Threads
05:00
SystemVerilog Tutorial in 5 Minutes - 12b Class Pointer
04:54
SystemVerilog Tutorial in 5 Minutes - 12a Class Members Attribute
04:55
SystemVerilog Tutorial in 5 Minutes - 12 Class Basic
04:59
SystemVerilog Tutorial in 5 Minutes - 11 Events
04:27
SystemVerilog Tutorial in 5 Minutes - 09a Function and Task Argument Direction
04:14
SystemVerilog Tutorial in 5 Minutes - 01 Introduction
05:00
SystemVerilog Tutorial in 5 Minutes - 08 Variable Size Array
03:59
SystemVerilog Tutorial in 5 Minutes - 01a Hello World
04:54
SystemVerilog Tutorial in 5 Minutes - 03 Numerical Variables
04:28
SystemVerilog Tutorial in 5 Minutes - 06 Structure
04:56
SystemVerilog Tutorial in 5 Minutes - 02 Signals Modelling
04:31
SystemVerilog Tutorial in 5 Minutes - 05 String
04:45
SystemVerilog Tutorial in 5 Minutes - 07 Fixed Size Array
04:53
SystemVerilog Tutorial in 5 Minutes - 04 Enumeration
04:49
SystemVerilog Tutorial in 5 Minutes - 09 Function and Task